Autor Tema: Logica programable en HDL con PLDs simples  (Leído 29585 veces)

0 Usuarios y 1 Visitante están viendo este tema.

Desconectado agauss

  • PIC16
  • ***
  • Mensajes: 147
Re: Logica programable en HDL con PLDs simples
« Respuesta #30 en: 21 de Marzo de 2011, 22:15:21 »
MUX 2-1 en ABEL con tablas de verdad

Código: [Seleccionar]
MODULE mux2a1;
TITLE 'Multiplexor de 2 entradas'

DECLARATIONS;

   mux2a1 DEVICE 'P22V10';
   A,B,S PIN 2,3,4;
   Y     PIN 23 ISTYPE 'COM';

EQUATIONS;

   truth_table ([S,B,A]->[Y])
   [0,0,0]->[0];
   [0,0,1]->[1];
   [0,1,0]->[0];
   [0,1,1]->[1];
   [1,0,0]->[0];
   [1,0,1]->[0];
   [1,1,0]->[1];
   [1,1,1]->[1];

END mux2a1;

No es el conocimiento, sino el acto de aprendizaje, y no la posesión, sino el acto de llegar allí, que concede el mayor disfrute.
"Carl Friedrich Gauss"

El tacto es el arte de hacer un punto sin hacer un enemigo.
"Isaac Newton"

Desconectado agauss

  • PIC16
  • ***
  • Mensajes: 147
Re: Logica programable en HDL con PLDs simples
« Respuesta #31 en: 21 de Marzo de 2011, 22:18:20 »
MUX 2-1 en VHDL, descripcion comportamental

Código: [Seleccionar]
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;

entity mux2a1 is
port
(
   A: in  std_logic;
   B: in  std_logic;
   S: in  std_logic;
   Y: out std_logic
);

attribute LOC: string;

attribute LOC of A: signal is "P2";
attribute LOC of B: signal is "P3";
attribute LOC of S: signal is "P4";
attribute LOC of Y: signal is "P23";
end mux2a1;

architecture comportamental of mux2a1 is
begin

   Y <= (not S and A) or (S and B);

end comportamental;

No es el conocimiento, sino el acto de aprendizaje, y no la posesión, sino el acto de llegar allí, que concede el mayor disfrute.
"Carl Friedrich Gauss"

El tacto es el arte de hacer un punto sin hacer un enemigo.
"Isaac Newton"

Desconectado agauss

  • PIC16
  • ***
  • Mensajes: 147
Re: Logica programable en HDL con PLDs simples
« Respuesta #32 en: 21 de Marzo de 2011, 22:20:10 »
MUX 2-1 en VERILOG

Código: [Seleccionar]
module mux2a1
(
   input  A /* synthesis LOC = "P2" */;
   input  B /* synthesis LOC = "P3" */;
   input  S /* synthesis LOC = "P4" */;

   output Y /* synthesis LOC = "P23" */;
);

   assign Y  = (!S&A)|(S&B);

endmodule

No es el conocimiento, sino el acto de aprendizaje, y no la posesión, sino el acto de llegar allí, que concede el mayor disfrute.
"Carl Friedrich Gauss"

El tacto es el arte de hacer un punto sin hacer un enemigo.
"Isaac Newton"

Desconectado agauss

  • PIC16
  • ***
  • Mensajes: 147
Re: Logica programable en HDL con PLDs simples
« Respuesta #33 en: 21 de Marzo de 2011, 22:24:59 »
Y para terminar el esquema en PROTEUS ISIS.

saludos...
No es el conocimiento, sino el acto de aprendizaje, y no la posesión, sino el acto de llegar allí, que concede el mayor disfrute.
"Carl Friedrich Gauss"

El tacto es el arte de hacer un punto sin hacer un enemigo.
"Isaac Newton"

Desconectado anibalismo

  • PIC10
  • *
  • Mensajes: 10
Duda...
« Respuesta #34 en: 15 de Junio de 2011, 13:02:33 »
Hola, hace poco un amigo me comento acerca de esto (ya lo habiamos visto en clases hace como 4 años :S jeje) y la verdad es que me dió curiosidad...

Sr. Agauss, y si ahora quiero programar mi arreglo lógico, cómo hago? digo, en físico...

Desconectado rafa_167

  • PIC10
  • *
  • Mensajes: 1
Re: Logica programable en HDL con PLDs simples
« Respuesta #35 en: 13 de Noviembre de 2011, 17:50:11 »
Hola que tal.
Soy de San Luis Potosí, Mexico, estudio en la UASLP y me interesa saber donde puedo descargar el programa ABEL, ya que yo solo he utilizado WinCupl
Saludos

Desconectado agauss

  • PIC16
  • ***
  • Mensajes: 147
Re: Logica programable en HDL con PLDs simples
« Respuesta #36 en: 15 de Noviembre de 2011, 01:42:18 »
Una disculpa por no contestar oportunamente pero tengo varias ocupaciones que me
absorben y ultimemente solo logro entrar algunos minutos al foro. Pero si de
algo sirve respondo:

anibalismo el hardware que puedes usar si es que no cuentas con un programador
universal es el GalBlast, lo encuentras en la red gogleando un poquillo, debo de
tener en algun lugar los esquematicos asi que si no encuentras nada con gusto los
subire en cuanto de con ellos. Con el Hardware viene tambien el software del mismo
nombre, es algo realmente economico y facil de armar, aunque creo que solo programa
GALs de la familia de Lattice.

rafa_167, el compilador de ABEL, VHDL y VERILOG lo puedes descargar directamnete de
la pagina de lattice (www.latticesemi.com) solo deberas llenar un formulario con el
cual tendras acceso a una licencia gratuita por un año.

Espero haber sido de ayuda compañeros. Saludos y hasta pronto...
No es el conocimiento, sino el acto de aprendizaje, y no la posesión, sino el acto de llegar allí, que concede el mayor disfrute.
"Carl Friedrich Gauss"

El tacto es el arte de hacer un punto sin hacer un enemigo.
"Isaac Newton"

Desconectado vr77

  • PIC10
  • *
  • Mensajes: 1
Re: Logica programable en HDL con PLDs simples
« Respuesta #37 en: 16 de Diciembre de 2012, 16:46:10 »
Hola, estoy interesado en programar el gal16v8 con verilog. Como puedo hacerlo? con qué sofware. Esto es posible?


 

anything