Autor Tema: como declarar #define con multiples salidas  (Leído 1823 veces)

0 Usuarios y 1 Visitante están viendo este tema.

Desconectado MichaelKnight

  • PIC16
  • ***
  • Mensajes: 154
como declarar #define con multiples salidas
« en: 02 de Agosto de 2006, 14:19:07 »
Buenas, estoy con un proyecto entre manos y tengo el siguiente problema:

tengo 3 posibles estados y en cada uno se activan diferentes salidas.

estado 1: PIN0, PIN3, PIN5
estado 2: PIN1, PIN2, PIN3
estado 3: todos OFF

mi pregunta es si podria declarar un #define de la siguiente manera

#define ESTADO1 (output_high(PIN_0), output_high(PIN_3), output_high(PIN_5))

Gracias de antemano. Saludos.

Desconectado Modulay

  • Moderadores
  • DsPIC30
  • *****
  • Mensajes: 2651
Re: como declarar #define con multiples salidas
« Respuesta #1 en: 02 de Agosto de 2006, 14:30:40 »
#DEFINE ESTADO1 output_high(PIN_B0); output_high(PIN_B3); output_high(PIN_B5);

Desconectado MichaelKnight

  • PIC16
  • ***
  • Mensajes: 154
Re: como declarar #define con multiples salidas
« Respuesta #2 en: 02 de Agosto de 2006, 16:53:37 »
tomo nota. gracias por tu respuesta

Desconectado elmasvital

  • Administrador
  • PIC24H
  • *******
  • Mensajes: 1713
Re: como declarar #define con multiples salidas
« Respuesta #3 en: 08 de Agosto de 2006, 12:37:42 »
la respuesta de modulay me suguiere que incluso tambine valdría de esta otra forma

#DEFINE ESTADO1 output_high(PIN_B0);
                             output_high(PIN_B3);
                             output_high(PIN_B5);

Valdria???
no la he probado

Desconectado maunix

  • Moderadores
  • DsPIC33
  • *****
  • Mensajes: 4751
    • Mi Sitio Web Personal
Re: como declarar #define con multiples salidas
« Respuesta #4 en: 08 de Agosto de 2006, 12:46:44 »
la respuesta de modulay me suguiere que incluso tambine valdría de esta otra forma

#DEFINE ESTADO1 output_high(PIN_B0);
                             output_high(PIN_B3);
                             output_high(PIN_B5);

Valdria???
no la he probado

elmasvital hasta donde he visto y utilizado , el define termina su campo de 'ingerencia' al terminar una línea.

Por eso que Modulay ha puesto todo junto en la misma línea.

Saludos
- La soberbia de un Einstein es entendible.. la de un salame es intolerable (A.Dolina)
- En teoría no hay diferencia entre la teoría y la práctica. En la práctica... si la hay.
- Lee, Lee, Lee y luego pregunta.(maunix)
- Las que conducen y arrastran al mundo no son las máquinas, sino las ideas (V. Hugo)
- Todos los hombres se parecen por sus palabras; solamente las obras evidencian que no son iguales.(Moliere)
- Todo debería ser hecho tan simple como sea posible pero no mas simple que eso.(A.Einstein)

Desconectado Modulay

  • Moderadores
  • DsPIC30
  • *****
  • Mensajes: 2651
Re: como declarar #define con multiples salidas
« Respuesta #5 en: 08 de Agosto de 2006, 14:23:28 »
No compila.Parece que debe ir todo en la misma línea


 

anything