Autor Tema: Proyecto FPGA  (Leído 6816 veces)

0 Usuarios y 1 Visitante están viendo este tema.

Desconectado carlos23

  • PIC10
  • *
  • Mensajes: 1
Proyecto FPGA
« en: 27 de Febrero de 2007, 21:02:19 »
Hola a todos los colaboradores que haceis de esto un gran sitio, ;). Bueno estoy interesado en hacer un proyecto con una FPGA (de Xilinx) o de Altera y quisiera que me diérais algunas ideas que pudiera desarrollar, por ejemplo pra hacer un controlador PWM para la regulación V/f de una máquina asíncrona que puede ser un motor; o si teneis alguna idea por ahí, os animo a que me la comenteis, Venga saludos y gracias a todos. Si podeis escribir al correo electrónico, Un saludo. :-/

Desconectado LABmouse

  • Moderadores
  • DsPIC30
  • *****
  • Mensajes: 3575
    • Juntos es mejor
Re: Proyecto FPGA
« Respuesta #1 en: 27 de Febrero de 2007, 21:46:29 »
beno, pues con una FPGa, creo se puede desarrollar lo que quieras. Por velocidad no hay problemas y por capacidad de procesamiento, tampoco lo creo. En que area de la electronica, quieres plasmar tu proyecto?

Desconectado vszener

  • Moderadores
  • PIC24H
  • *****
  • Mensajes: 2395
Re: Proyecto FPGA
« Respuesta #2 en: 28 de Febrero de 2007, 09:23:39 »
Si nadas bien de VHDL no creo que tengas muchos problemas al desarrollo, haz una máquina de estados, y después el paso a VHDL para la implementación de la FPGA noe s complicado.


Suerte!!! ;)
· Nos vemos en los bares!!!!!
· Mi Blog: Aqueronte

Desconectado Sirkius

  • PIC10
  • *
  • Mensajes: 27
Re: Proyecto FPGA
« Respuesta #3 en: 03 de Marzo de 2007, 10:39:13 »
De hecho con dos contadores y dos comparadores se puede hacer (a lo mejor no óptimamente, pero sería lo más sencillo).

Desconectado damago

  • Colaborador
  • PIC18
  • *****
  • Mensajes: 320
Re: Proyecto FPGA
« Respuesta #4 en: 03 de Marzo de 2007, 12:50:28 »
Y con solo un contador y un comparador tb se podria hacer. Por ej. usando un contador de 8 bit (256 cuentas), la salida vale '0' cuando el contaje es menor de 'X' y vale '1' cuando es mayor o igual que 'X'. Automaticamente el contaje de 255 pasara a 0 al ser de 8 bit.

algo asi como (salvando errores de sintaxis por lo he puesto asi de cabeza):

a <= a + 1; -- siempre añadimos 1, ya que de 255 a 0 pasa el solo
if a < X then salida <= '0' else salida <= '1'; end if;

- 'a' seria un vector de 8 bits en este caso

Un saludo. Dani.

Desconectado Sirkius

  • PIC10
  • *
  • Mensajes: 27
Re: Proyecto FPGA
« Respuesta #5 en: 03 de Marzo de 2007, 14:14:19 »
Ok, tienes razón, estaba siendo muy rebuscado.

Desconectado zerasga

  • PIC10
  • *
  • Mensajes: 1
Re: Proyecto FPGA
« Respuesta #6 en: 13 de Enero de 2008, 00:51:04 »
ola!

 Necessito de ayuda e información sobre el motor passo a passo  en vhdl, voy a poner en ejecución adentro XILINK.

gracias...