Autor Tema: Informacion de CPLD?  (Leído 2739 veces)

0 Usuarios y 1 Visitante están viendo este tema.

Desconectado mos

  • PIC10
  • *
  • Mensajes: 35
Informacion de CPLD?
« en: 09 de Junio de 2006, 17:14:10 »
Hola compañeros, me gustaria saber como funcionan y como grabar los cpld's he visto un libro que explica estos dispositivos, aunque utilizo el pic en mis proyectos me gustaria saber que son y como utilizarlos. Tengo una ligera idea, he intentado bajar el programa quartus en la pagina oficial, pero soy un poco patoso y no consigo descargarlo, me gustaria saber que programa utlizar y donde conseguirlo , bueno un saludo compañeros. :)

Desconectado IngLAOFX

  • PIC10
  • *
  • Mensajes: 43
Re: Informacion de CPLD?
« Respuesta #1 en: 25 de Julio de 2006, 18:29:47 »
Bueno, los CPLD's son interesantes, podrias iniciar comprandote una tarjeta de desarrollo para FPGA's, hay unas baratas que contienen el chip Spartan 3 de Xilinx, puedes checarla en su pagina. Tambien puedes comprar tarjetas de CPLD, la diferencia es que el FPGA tiene mas posibilidades que un CPLD, de hecho es la evolucion de los CPLD. Al comprar la arjeta o hacerte de una existen varias alternativas respecto al software.

Cuando yo trabaje con FPGA's, usaba una tarjeta de la compañia Altera (creo), pero la placa contenia un FPGA de Xilinx, entonces hacia lo siguiente:

Hacia los diseños en el software Aldec ActivHDL en su version para estudiantes, gratuita. Tenia limitaciones como el numero de proyectos, limitaciones en la simulacion, pero en realidad esas limitaciones podias manejarlas para hacer diseños complejos. Usaba este software para modelar en lenguaje VHDL de una manera muy sencilla y practica. Una vez que terminaba los modulos que componian la aplicacion que deseaba realiazar, generaba los archivos necesarios para la programacion del FPGA con el software de Xilinx, el cual es gratuito si bien recuerdo. Tambien puedes modelar en VHDL con el softwre de xilinx pero como te digo, me parece mas amigable el entorno de Aldec ActivHDL.

Ya una vez que tienes el archivo .BIT, el cual es que se le descarga al FPGA, debes utilizar el software propio para cada tarjeta de desarrollo para programar el FPGA a traves del puerto paralelo y la interfaz JTAG, la cual ya esta implementada en la tarjeta. En realidad es un proceso muy sencillo ya que todo esta en los softwares y la tarjeta.

Lo mismo sucede con las tarjetas de desarrollo para CPLDs, ya te proporcionan lo que requieres, e inclusive puedes empelar los mismos softwre que te acabo de mencionar.

Si lo que deseas es iniciar de cero, comprar tu los chips CPLD, hacer sus impresos, y todo eso, pues creo que seria mas complicado y la verdad te recomiendo que tu esfuerzo lo inviertas en la idea que tienes y no en las herramientas que requeriras para materializarla.

Hay tambien PLDs mas simples como las GAL, con esos chips si puedes armarte un programador, y buscar un software para ello, inclusive creo que por este foro hay un topic donde viene un link para un programador de GAL, buscalo y ve si es lo que deseas..

Suerte en tu idea....
Gana Mas Una Bruja Rentando Su Palo De Escoba A 10Euros por Vuelta Que Uno Prediciendole Un Esplendoroso Futuro A La Clase Obrera En Una Semana