Autor Tema: comparacion entre vectores.  (Leído 1917 veces)

0 Usuarios y 1 Visitante están viendo este tema.

Desconectado pipipi

  • PIC12
  • **
  • Mensajes: 91
comparacion entre vectores.
« en: 18 de Agosto de 2010, 11:49:29 »
Hola amigos del foro:

Nuevamente necesito su ayuda, estoy trabajando en un programa y tengo problemas para comparar dos vectores el programa que me esta causando problemas es el siguiente:

if(input (PIN_D4) && dato[t]<=rangoalto )//si se oprime decrementar
   {
         
      dato[t]=dato[t]+1;//decrementamos
      b=0;//ponemos a cero y
      delay_ms(200);//esperamos 50ms
         
   }


esta subrutina se realiza cada que se oprime un boton conectado en el Pin 4 del puerto D, los dos vectores que estoy utilizando son: dato[t] y rangoalto las variables t y u son para seleccionar el dato que se encuentra dentro del vector que necesito en ese momento, lo que tiene que hacer esta subrutina es decrementar dato[t] siempre y cuando sea menor o igual a rangoalto. El problema es que si lo hace pero no respeta la condicion que le estoy poniendo, dato[t] empieza valiendo -20 y rangoalto vale -15. Si me pudieran ayudar se los agradeceria mucho. Gracias :-/

Desconectado migsantiago

  • Colaborador
  • DsPIC33
  • *****
  • Mensajes: 8257
    • Sitio de MigSantiago
Re: comparacion entre vectores.
« Respuesta #1 en: 18 de Agosto de 2010, 12:41:58 »
Hola, usa más paréntesis en la condición IF.